基本设计完成多路数据选择器实验
This commit is contained in:
parent
2182d0f464
commit
e095daf3c3
File diff suppressed because one or more lines are too long
After Width: | Height: | Size: 15 KiB |
Binary file not shown.
After Width: | Height: | Size: 32 KiB |
|
@ -0,0 +1,67 @@
|
|||
# 多路数据选择器
|
||||
|
||||
## 一、实验介绍
|
||||
|
||||
多路数据选择器(Multiplexer,简称 MUX)是一种数字电路组件,用于从多个输入数据信号中选择一个输出数据信号。它根据选择信号的值,将其中一个输入信号传递到输出。一个有 2*n* 输入端的数据选择器有 _n_ 个可选择的输入-输出线路,可以通过控制端来选择其中一个信号被选择作为输出。
|
||||
|
||||
本实验介绍如何使用 Verilog 编写多路数据选择器。
|
||||
|
||||
## 二、实验目的
|
||||
|
||||
1. 理解多路数据选择器的原理和功能。
|
||||
2. 学会使用 Verilog 描述多路数据选择器的行为。
|
||||
3. 掌握 Verilog 仿真工具的使用,验证多路数据选择器的正确性。
|
||||
|
||||
## 三、实验要求
|
||||
|
||||
1. 使用 Verilog 描述多路数据选择器的行为。
|
||||
2. 通过所有测试点。
|
||||
|
||||
## 四、实验步骤
|
||||
|
||||
这里我们以四选一多路选择器举例:
|
||||
|
||||
### 1. 框图
|
||||
|
||||
<img src="多路数据选择器.assets/框图.svg" style="zoom:150%;" />
|
||||
|
||||
- `A` 、`B` 、`C` 和 `D`是输入端口,表示输入的数据。
|
||||
- `S` 是选择端口,用于选择输入的数据。
|
||||
- `Y` 是输出端口,输出被选中的数据。
|
||||
|
||||
### 2. 真值表
|
||||
|
||||
<img src="多路数据选择器.assets/真值表.png" style="zoom:;" />
|
||||
|
||||
在S分别取0、1、2、3的时候Y分别输出A、B、C、D的值。
|
||||
|
||||
### 3. 顶层模块
|
||||
|
||||
```verilog
|
||||
module Mux4 #(
|
||||
parameter DATA_WIDTH = 8,
|
||||
parameter SELECT_WIDTH = $clog2(4) // $clog2(x)返回大于等于log2(x)的最小整数
|
||||
) (
|
||||
input wire [DATA_WIDTH - 1: 0] A,
|
||||
input wire [DATA_WIDTH - 1: 0] B,
|
||||
input wire [DATA_WIDTH - 1: 0] C,
|
||||
input wire [DATA_WIDTH - 1: 0] D,
|
||||
input wire [SELECT_WIDTH - 1: 0] S,
|
||||
output reg [DATA_WIDTH - 1: 0] Y
|
||||
);
|
||||
|
||||
// TODO:你的代码实现
|
||||
|
||||
endmodule
|
||||
|
||||
```
|
||||
|
||||
在上述代码中,顶层模块名为 `Mux4`,它有四个端口:
|
||||
|
||||
- `A` 、`B` 、`C` 和 `D`是输入端口,表示输入的数据。
|
||||
- `S` 是选择端口,用于选择输入的数据。
|
||||
- `Y` 是输出端口,输出被选中的数据。
|
||||
|
||||
其中我们使用了参数化的设计,这有利于我们对模块进行高效的拓展。
|
||||
|
||||
请补充代码,完成多路数据选择器的设计,使得多路数据选择器的行为满足真值表。
|
|
@ -270,38 +270,38 @@
|
|||
</mxGraphModel>
|
||||
</diagram>
|
||||
<diagram id="5C8ZGqh4Hf1FeQuMz4j9" name="全加器">
|
||||
<mxGraphModel dx="1392" dy="1142" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" background="#ffffff" math="0" shadow="0">
|
||||
<mxGraphModel dx="696" dy="571" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" background="#ffffff" math="0" shadow="0">
|
||||
<root>
|
||||
<mxCell id="0"/>
|
||||
<mxCell id="1" parent="0"/>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-1" value="" style="group" vertex="1" connectable="0" parent="1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-1" value="" style="group" parent="1" vertex="1" connectable="0">
|
||||
<mxGeometry x="100" y="410" width="609" height="336" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-2" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-2" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="52" y="134.75862068965523" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-3" value="XOR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=xor;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-3" value="XOR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=xor;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="122" y="120" width="100" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-4" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-4" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="262" y="46" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-5" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-5" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="212" y="15.999999999999886" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-6" value="AND" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=and;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;labelPosition=center;fontStyle=1" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-6" value="AND" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=and;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;labelPosition=center;fontStyle=1" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="282" y="206" width="100" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-7" value="OR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=or;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-7" value="OR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=or;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="442" y="61" width="100" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-8" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;entryPerimeter=0;strokeColor=#000000;strokeWidth=3;fontColor=#000000;startArrow=none;startFill=0;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6" target="gzdv8FFXtKBTtcGOgYej-7">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-8" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;entryPerimeter=0;strokeColor=#000000;strokeWidth=3;fontColor=#000000;startArrow=none;startFill=0;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-6" target="gzdv8FFXtKBTtcGOgYej-7" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<Array as="points">
|
||||
<mxPoint x="402" y="236"/>
|
||||
|
@ -309,40 +309,40 @@
|
|||
</Array>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-9" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-9" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="102" y="166" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-10" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-10" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=oval;endFill=1;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="72" y="136" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-11" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.75;entryDx=0;entryDy=0;entryPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12" target="gzdv8FFXtKBTtcGOgYej-7">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-11" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.75;entryDx=0;entryDy=0;entryPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-12" target="gzdv8FFXtKBTtcGOgYej-7" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<Array as="points">
|
||||
<mxPoint x="442" y="306"/>
|
||||
</Array>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-12" value="AND" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=and;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;labelPosition=center;fontStyle=1" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-12" value="AND" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=and;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;labelPosition=center;fontStyle=1" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="122" y="276" width="100" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-13" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-15">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-13" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-15" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="541.9999999999998" y="30.470588235294144" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-14" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-15">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-14" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.25;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-15" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="52" y="16.35294117647061" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-15" value="XOR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=xor;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-15" value="XOR" style="verticalLabelPosition=middle;shadow=0;dashed=0;align=center;html=1;verticalAlign=middle;shape=mxgraph.electrical.logic_gates.logic_gate;operation=xor;labelBackgroundColor=none;strokeColor=#000000;strokeWidth=3;fontColor=#000000;fillColor=none;fontStyle=1;labelPosition=center;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="282" y="1" width="100" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-16" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.75;entryDx=0;entryDy=0;entryPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3" target="gzdv8FFXtKBTtcGOgYej-15">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-16" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0;entryY=0.75;entryDx=0;entryDy=0;entryPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3" target="gzdv8FFXtKBTtcGOgYej-15" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<Array as="points">
|
||||
<mxPoint x="242" y="150"/>
|
||||
|
@ -350,28 +350,28 @@
|
|||
</Array>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-17" value="Cin" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-17" value="Cin" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry width="60" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-18" value="A" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-18" value="A" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry y="120" width="60" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-19" value="" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" edge="1" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3" target="gzdv8FFXtKBTtcGOgYej-20">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-19" value="" style="edgeStyle=orthogonalEdgeStyle;rounded=0;html=1;exitX=0;exitY=0.75;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=3;endArrow=none;endFill=0;" parent="gzdv8FFXtKBTtcGOgYej-1" source="gzdv8FFXtKBTtcGOgYej-3" target="gzdv8FFXtKBTtcGOgYej-20" edge="1">
|
||||
<mxGeometry relative="1" as="geometry">
|
||||
<mxPoint x="52" y="165.1034482758621" as="targetPoint"/>
|
||||
<mxPoint x="122" y="165" as="sourcePoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-20" value="<span style="">B</span>" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-20" value="<span style="">B</span>" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry y="150" width="60" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-21" value="S" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-21" value="S" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="529" y="15" width="60" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-22" value="Cout" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" vertex="1" parent="gzdv8FFXtKBTtcGOgYej-1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-22" value="Cout" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;fontStyle=1;fontSize=25;" parent="gzdv8FFXtKBTtcGOgYej-1" vertex="1">
|
||||
<mxGeometry x="549" y="76" width="60" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-23" value="" style="group" vertex="1" connectable="0" parent="1">
|
||||
<mxCell id="gzdv8FFXtKBTtcGOgYej-23" value="" style="group" parent="1" vertex="1" connectable="0">
|
||||
<mxGeometry x="100" y="80" width="100" height="183" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="wTAwAFIw4sOWHSdyWEut-2" style="edgeStyle=none;html=1;exitX=0.25;exitY=0;exitDx=0;exitDy=0;fontColor=#000000;startArrow=block;startFill=1;endArrow=none;endFill=0;strokeColor=#000000;rounded=0;strokeWidth=2;" parent="gzdv8FFXtKBTtcGOgYej-23" source="wTAwAFIw4sOWHSdyWEut-1" edge="1">
|
||||
|
@ -420,11 +420,71 @@
|
|||
</root>
|
||||
</mxGraphModel>
|
||||
</diagram>
|
||||
<diagram id="E8JmcAeOklZgZ2l8eJsL" name="第 3 页">
|
||||
<diagram id="E8JmcAeOklZgZ2l8eJsL" name="多路数据选择器">
|
||||
<mxGraphModel dx="696" dy="571" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
|
||||
<root>
|
||||
<mxCell id="0"/>
|
||||
<mxCell id="1" parent="0"/>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-15" value="" style="group" parent="1" vertex="1" connectable="0">
|
||||
<mxGeometry x="47" y="90" width="326" height="195" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-1" value="MUX" style="shape=trapezoid;perimeter=trapezoidPerimeter;whiteSpace=wrap;html=1;fixedSize=1;rotation=90;strokeWidth=3;fontStyle=1;fontSize=22;" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry x="103" y="30" width="120" height="60" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-2" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;" parent="uB0_w7AT7cy0_k-z_mVM-15" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="63" y="16" as="sourcePoint"/>
|
||||
<mxPoint x="133" y="16" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-3" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;" parent="uB0_w7AT7cy0_k-z_mVM-15" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="63" y="45" as="sourcePoint"/>
|
||||
<mxPoint x="132.99999999999994" y="45" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-4" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;" parent="uB0_w7AT7cy0_k-z_mVM-15" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="63" y="72" as="sourcePoint"/>
|
||||
<mxPoint x="132.99999999999994" y="72" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-5" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;" parent="uB0_w7AT7cy0_k-z_mVM-15" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="63" y="100" as="sourcePoint"/>
|
||||
<mxPoint x="132.99999999999994" y="100" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-7" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;" parent="uB0_w7AT7cy0_k-z_mVM-15" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="193" y="59.81" as="sourcePoint"/>
|
||||
<mxPoint x="262.99999999999994" y="59.81" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-8" value="" style="endArrow=blockThin;html=1;rounded=0;strokeColor=#000000;fontFamily=Helvetica;fontSize=15;fontColor=#000000;endFill=1;strokeWidth=3;entryX=1;entryY=0.5;entryDx=0;entryDy=0;" parent="uB0_w7AT7cy0_k-z_mVM-15" target="uB0_w7AT7cy0_k-z_mVM-1" edge="1">
|
||||
<mxGeometry width="50" height="50" relative="1" as="geometry">
|
||||
<mxPoint x="163" y="170" as="sourcePoint"/>
|
||||
<mxPoint x="197.99999999999994" y="150" as="targetPoint"/>
|
||||
</mxGeometry>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-9" value="[7:0] A" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-10" value="[7:0] B" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry y="30" width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-11" value="[7:0] C" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry y="57" width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-12" value="[7:0] D" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry y="84" width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-13" value="[7:0] Y" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry x="256" y="44" width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
<mxCell id="uB0_w7AT7cy0_k-z_mVM-14" value="[1:0] S" style="text;html=1;align=center;verticalAlign=middle;resizable=0;points=[];autosize=1;strokeColor=none;fillColor=none;fontSize=15;fontStyle=1" parent="uB0_w7AT7cy0_k-z_mVM-15" vertex="1">
|
||||
<mxGeometry x="128" y="165" width="70" height="30" as="geometry"/>
|
||||
</mxCell>
|
||||
</root>
|
||||
</mxGraphModel>
|
||||
</diagram>
|
||||
|
|
Loading…
Reference in New Issue