From e095daf3c3c0c6398dab4dda7a55e586bae97a24 Mon Sep 17 00:00:00 2001 From: Liphen Date: Fri, 3 Nov 2023 16:11:26 +0800 Subject: [PATCH] =?UTF-8?q?=E5=9F=BA=E6=9C=AC=E8=AE=BE=E8=AE=A1=E5=AE=8C?= =?UTF-8?q?=E6=88=90=E5=A4=9A=E8=B7=AF=E6=95=B0=E6=8D=AE=E9=80=89=E6=8B=A9?= =?UTF-8?q?=E5=99=A8=E5=AE=9E=E9=AA=8C?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 2 +- .../多路数据选择器/多路数据选择器.assets/框图.svg | 1 + .../多路数据选择器/多路数据选择器.assets/真值表.png | Bin 0 -> 33202 bytes .../多路数据选择器/多路数据选择器.md | 67 +++++++++++ doc/数字电路实验/电路图.drawio | 110 ++++++++++++++---- 5 files changed, 154 insertions(+), 26 deletions(-) create mode 100644 doc/数字电路实验/多路数据选择器/多路数据选择器.assets/框图.svg create mode 100644 doc/数字电路实验/多路数据选择器/多路数据选择器.assets/真值表.png create mode 100644 doc/数字电路实验/多路数据选择器/多路数据选择器.md diff --git a/README.md b/README.md index dbb32fd..77ff81d 100644 --- a/README.md +++ b/README.md @@ -37,7 +37,7 @@ - 全加器 - 超前进位加法器 - 加减法器 -- 数据选择器 +- 多路数据选择器 - 译码器 - 思考题:编码器 diff --git a/doc/数字电路实验/多路数据选择器/多路数据选择器.assets/框图.svg b/doc/数字电路实验/多路数据选择器/多路数据选择器.assets/框图.svg new file mode 100644 index 0000000..c1d50f6 --- /dev/null +++ b/doc/数字电路实验/多路数据选择器/多路数据选择器.assets/框图.svg @@ -0,0 +1 @@ +
MUX
MUX
[7:0] A
[7:0] A
[7:0] B
[7:0] B
[7:0] C
[7:0] C
[7:0] D
[7:0] D
[7:0] Y
[7:0] Y
[1:0] S
[1:0] S
Text is not SVG - cannot display
\ No newline at end of file diff --git a/doc/数字电路实验/多路数据选择器/多路数据选择器.assets/真值表.png b/doc/数字电路实验/多路数据选择器/多路数据选择器.assets/真值表.png new file mode 100644 index 0000000000000000000000000000000000000000..207ba8fdc97eee248dd2eef97dfa92875a95b194 GIT binary patch literal 33202 zcmeFZWmH_vwl3Vb1b26BB)GdnaQ6`0rEvm;;O_1&jk{~0aR|ZPT@oCE)Y}K}XB8m%_U*sd z{u<=JH^ST9fBFEJh*0fNSujvk0BB4o7)+=?g8=fsmI@6G^L7E?-x@N~I|M`+ICxm- zzb??n007{jp#iYa2rzJnsEF?XP|z^2aPR;GL?mQPENmP~Tq1rwua*TW_EbCpanEJ~ zY8no1UiT(^LRua*-A`^FY3Z$tbes}eI$s;-8D!M8T|f!R1+#N+W8?gPlm7eUfAwPi z$5Q^IcLjg~^R`Y*7)*dDpf}o#0OkJ|;iFC@$@;z~JI&z+)GxvL{DGe+$ZsRW# z!Mr!9dcISrmyGj;m*;7PpSr-5SSUGOQ#dt7TUD%(jxh!%q4!lI; zS)|sW!)WKkQ)mZfn_;}E8CY1^$tQ?Md5i-^krV(-+9%bT;sHzXgqkLr0bu4O3_L&F zp*-c)`jzsNo6%sbw{6=TPrqGaUW?vj*w%oDtr1`87z^hyd3jo6(LO%m<}4?XJBcCu z%e|cRs3Dv5fuzqLz$0o(0UHLpVy}M519=GjSRQLg_`svjyiB1*O+2a1eK~j zqjVAog$QX@Gq)IK7U&c8jn64h*9Eq@AhwyrSkU~}Gk)LP!K*ZR1 z3frjbF=gCl)kO-_cF69eik%8%s*;k+FO7@@(3#Zu{vR#4PxzHXVBKp@;LMa3q08V< zQe5;Q|0J!*Afop*PGbu$L}jI6_+yH$E9P@^$VV2>)X-8KLCUZmJ8EA z0I@#+l^YY?P|odUzu%m8c1oU2p9tk;zS(E8D7;{jawNG~637^uP@gdqDiqF)Ln`FC zZKx8_6YbAu-PY+U)Ic33T1xkP|HX!zr{9q}Wciu9UOjTD)ZPDV9JssjJ@C8c2u=KtAOX3E}4t>3X3ueI6ZUiM`?;{@RmXJm)F;`$}jhoJqgZRM+u-tYX)}l zPPa~UQ1Pq>i>-b}9nigUQpCGrSy{{BmrJU`**9*{VLSSFZ1a0|d#G2K^0OB52LO>g zHmDJ?lS2q?B6;`LR7W4258(9hR~QmHezwxJQ$QSj=XnPBAz(@$p9n%K-i zBk)Pp2PDvO(oLX9LZ~uSgiUhw?06=w+e4n48~g09NlGc7Ek4v%oYgGqjQ?0~{$j>c z{ejP5!jCFbN!dyC=<0DxN;DF?$j_y3G_s7NEz6MmW9+K-T(!!lQx7no3pUt8C{o#c zdrf<)4P2>U(GGuw#2KwOP=LTZa+aB1|C6BA!H$eCL~;PQj?#&me?=;_mSO4O&ye*M$gOAkJzGUNd~@xEq04y}qcHhC+?Rv&jYC6)K$ z?eDAo>8G8o0@v(zEDC2aJ?f5;0h$LaY^WPtA?f~AdxI+%)EEi!_(RqiCH{oi0^+zS zK#}7aIhFHd!J%j~sDCAiF`K+Am)ph9`ajVppM}TTM`eCyoqPTI;m_h3cJNBJr8UJK z4bL8pzO9*L#Eq%P!5ld#pio?aTxeTbAQeehXa&VM0t!#wV?$C@!@$76MAX)lKD>Rm_C_*@K#()WYvxd+b^fWhwQ%8IQG-#4gY7)qE3j7R43iXk`BU_w01@ zvP#=O6>#ut)7Ci@tL2{s#L{L>O_xQz{IoTnG`6eL44Ul7NqgNp)OmA-*96- zCm=2=@m?m^w4j@H*zIDm1K5l%g5!@I&q&=q9_P3@LB%balj`H7{Nl?iJsStC+QNPB z2~EI;z{J4J;A@5ik3@D*biWk7T%Rd0(XP-1U3`#t!zuzqRmg-nsxIhSTtI>eiXX|r z#t>Jp(gJ93$WWx?F!ssOV8S4z<*GP^S+#ogOz}lSn(NE-iBq2aV#6yg_~uinR4&-1 z#fY?9D)CBr+ZqjsSSD7yj-f`TMwXW~aYimHl=?R4&{j&?9134($@!!**O4V=>+@onWfWf1;!N~f+O#)ArBAndY{xaT125qN5|=2yQ&5(6 zU*q@MwvZZvf%*^~hkmGDFZ}TmS=eCBj@P$xlck9D=>Sq_VJv|uJ%jHoFqCvFZ1+N{ zE~kPU5Q=Kxhd9L-3!3?o02~SEd*6VqvRCQu5b)*LTJ`F>r*`Jmhe? zt6JJJMr(cos}zAiCQ=rnjw$RaU2UrBEZpVY;vs@X6Q8q~k0MKd=O6kXs5ASHc%r+R5QaQev+tu6X7DRVtqm3s5;VHqtu9vhH%)@{k5(66*X|AP@rM<(_c6J)ZDvAOKVlvp4q-@>u^9fiH+vY`IMycc_-+WFikXB@3SQ@tHF#0hB`F zMivYrEFM5PEkW1_{)G@StY7=pk`bv4)%<$i{I*t^{jXdsf*_G zl+34OqOY!9?gk*Q@oL6z`xyiFwm2C{%HLG7a3U+j@yjt{sdEY8k|WdXA=1#uF)wt@ z@6rDN-tlZ&K94W2A76K{*vQnfHdyS!30PoPpfnBIhM5lxp$%;fy>VyWUkYl#dvSjbU@JiTP`_P!X&4|klHdNB2 zLe8CK2#kPnem$)@Y+%6z<);0=3Pk3ShRJ@Ax+`ruv3m;L_$J?__Fj>D*%=*vj9_47 zsAiS47!|cK3wNZ&^nS0FX1iiki6J2IQYT`nga(WckrWa))%dOqq7I#9GZD;5pDW)=4{O(QRj-DSpRth`$ZV4 z4k^`A1`pAD|L3@unQf}Mc+UvGjKx;YM}z@8l&@3U0&665YgIr6k{#1w*_Fyvg_ssr zTyl;l)Vx0c#2Oa#tPIUdz?%tL zhpM(lj?t#HhTgiGO1V~OSu=97qBmo!hN%HG#&v`>d@x$XbX=9eytT6gb?oHsCe)1P zSL!hcaC&+C%G}S6;vUI7+Z2vKSim&^iV4Um^S}g<EL3wFDU* zE)LX3^fD{zmNH6^n)AarAnBRm@yU5b+Lm`0VI%!w>GYVS3UJpBt(1d8NozAJI5 zDTWtDASTMwNR(#Em#R|Plx6^sB{1^gD3Jl`$$y2iFfdR5DqMQ&|0l0!-*B(UbMmgs z%SIHh^V{_obZ6kHfc79!YpVBK1JpbsZXk z_vGX7>N%Goz(2=l|A#A7?-kpB{ zRT1TG9j9A6w&OCTg}$0IQUbQ3wvzGti@00t%922M#z+bZS_~+tM?~{nKUpQ6`v`mV zC%#3|%P;&8&H>uGPiE1msJSER7rA#jSw+D;5 zTAayHEK!<)ED-?ES5wqTE~5+>VuOk_4JRmN44ObuLuY&Q{@(mQD5z_cKLFR=+kG_! zTId*A?N9R|`VJzEn%aJsC|S!xOm$U-=xmpqtVg3ANu2CHTcK`yLwc^sI=W(-yh|qR z(f^0Sq1JiuWGuv$7iF~l*c;Za!~ai*Epq$+;{CtI`kQ1qIfOcZi3|g(HnUwRQ z>CEZ@E#-F+xqfWMy}k$MGlIg4T8e8llFaWpx9N`A`n)Xxos(!kTLG(eo2BVrq)fLm zT2pMa#HVVrBB#obF9F9N!R)u?$9e8$W}gf(MlJ1ZC1`HX>IH!4^IRpvI_s&<^ z*9d-U27eYGp+u_EZ_Ea*$e0Rs?2n-*`gcl&H=VIz9KdPe+zFWf)4}l%dJy;bZ*i<0 z0$cPCdLZ)m3iNj3V8#5s9uWM40##<4DDeJ+9+>^T265E5UdoNieyj6lVt&asH2>^w z)SD0wisE6;%oi7!GU{}8`|cPh1tky#EWoA|Q#1o0V&{nGnhpTCITBrXTL^Q?y2%Ynp>$8?o?GgGhZ&O4! zhEn;@C@eCj16CWyNzds5U$M_t9%?U_JOQ~LXMvprAFGMr6wq#X)ytP zQ7TB&R%`8h6Of~wVb*FLmS{FE?anXq_Ds84A4D$TLNdCQ&kd2J^;?8)>=+rt!=g99 zta#RKJ(fj50mV{B_zgW&u1`r}1s&V;qNRMFp3{Ts>zn%C8=|5SJ?#MpL<|BKw|)`H&JR4$)m!uqwV>7$owrXz17IxRayuMTzY^jw*#m1y`1} z@<95$+Z}Nam?^WkVx`YLpn{P~)ukx}-j`@l^=bL_O>Au0)g3ja=ftcC9>nj+vU`81 zzdI2q6;0-5vH)p+DxWK^#*wq(flZU)5fI^SsDOc(ymH}*!Id+pDA+Kl0haPIw*}H( zJ;@n+tBl{y&0kioW*cvjG{W>Mi#wRs)c+Wi9aF7&QjdOk=7E%E!yXwL8f9NJio}Qz zl^(%sJJX=R+)%~_rJ(k*y9XnXx!V@2eJ9!cvq`z6?NGJ;7}biW#CCHcS9W4T>-KZR zTy%jyR-8_#>4nFV2KK76dD0yjW*tm{#WgHBCcOxbP1kguB+&s<~ z;f$2#$8&kD{k2^Rq1+75S-uW>XBi5g>WTrku(iD%{5)bEcO2=2IidE7BlkOHY@n1Y z#w?HtGsr039-QQLmXwA!_OL;gU~X4iGd2y_6mhKo1LdAPa6gu9_mZ76;X`=-WmF=@ zKDk2iElmNMaA2eM)&=+INlGSr@g!&(o%UmHxNigrM^ae2Ws`3bf?PQ~RrPwKa|3dG zAB8E2m?ZbHm;e1^J0E&c|E#GHO(reSCm^#d@YWw_Vtcyrl&xGUwgx}x>bqVE7iDOq;Aq^ z63DAWC)-5TLZe?_6$pc?3PFb-Mx>5pfn*Y7-a`JUet7Hm#QaK=tKdq#me;3^2FnifPVmSHwJV=WgCi-QflK%ZIw;WY>WFAiL%e6V3sl3c((+^C8bL^=xZn4oUS9s zG3r`eL@zxy0hZN1YhB84ds!PGpJuJZ?m{s+WWUvs0Jh3*ymeQc=``OT|D@N(3> zSiRz>3~53bl1fAdsCsI`BijYJtQ6CBr>`K{I_+|TC>o~0L6oevjFG!5mnk`uw~U;J zV}fQOGzBdmB6{lQvp1X;UV+zl({4C4>C&!Cl=s&?@Q{|c$EkVuoBTub26@)Q=dU_^ z|Bg-&zBgvxux5f7*YCT-*_vFoz8b79ourB_yHec>z{=5^FV!S*C}6t%a2{$hhmOP% z3#HkKAdy0$<(AlUbPbCx_jFm|Ii#j#z3rtffAD{LsS11;L5i8v*r|m#6F4si|3Bk?Yws&+9ED#md+;1$*2m4 zH2*}wiu73OIGP5+8AT#027ti_U?P<3rZsZNycQ4F=*|Iw07yg$e{cUJ0QbniT7InCM#FEU0JMU zzYnK?An%5+ILaIuOrDUW#z_#y@Eomyh&}>xya@|Atc}5)9J#Psq~Q_DiX6IB%8{K@ z|G}JGtaZ^V#{``GC7^^6pBx>TsA)7b$SS2_?`D82`z`^K@tBDKB?O)LJsndtH#*is z0x`|{=a9%p(av+sigE;Ffl8wgPmOBuHV5NDM2V$kN%WdFMZ}LtLyboQrBv?m8eN+j zY?nWPPglG?%gfs3nZJ?t3i~>fvEu6#=mJ9{tHgLU_c{ z%p|`!t6#+*Lix`@=fv6HIW-;06StNf{A7}tLN7c>jjOiasVvN!r@>C70NEq0GndVz zLKKv)!$vu9bv)b=34i=bxYS=AcC60YxcD_iVd>4^TqPI@6yC9=I=d+@EU3687g5YG^$~?!M=a@wr50R zhQXp4Tiw*~kb|+Aww*h2u<0z`>iX5zZ*BMtxhhD$2I0hHqRXl!s?=*T2ICxPYfl^( z1+NuO?_xZu-m+)>Tv_xNQLRWI`pJrSGt|b(Du#UulluzX?}Y8Ko4aFoOG(vj2 zCt&pBWYfrjyo$x~F-o8}|MHNKUWZj!y({ z2O-iakQAEzE4p|A>WhH#W3alk<5q$wM!PtB2@6zjGdY7hD)ndU&MKL{`6o0|q>iCX zLQvKExVz+!Mjgh-r>f#~RxQGj;dVxn29LyYW{LD(k@h?HVWKo-6AevC38N5#etboCzv_8D2-OjN3W+L+e|S z$f+}PwHi!oOLscEOY~aSj7kq0XGoX2V6~d@;Yp7lPL!NyI{ZyVR#1<>j})q~KgN}C;PjYXlfP%5H1dDnklqc^gB@ZQdv=`(r3goa z8bhg)!p4yjidN-E6UVqlS5y2b$`KAfGfDhv!F7LYP}|EmXaY*L4ckZETk#z76LpUk zh(X6)hOcAGpi~{^KTm8bZ^uG!JrON9F3X`S2Ox>lPQjzw!*bd|Y=zI%xbZH9HnFA9 zfW!@`@US-+n(R`n0#$w{Vvkwh0rVIz8`7AVfNK(D$Yq{AFL!RU+Y2UcvOHy_>1o?XSqEGjfk2}--pM#bwU<0L$?+&lYv(3So?PUn){5w5npdfw~DdT z0b&7W{-b^pWJSI~6aISZd5E=3)3u_tM%RxOJ#hR79dRVYQb^rxun)yopj~yxyC}v3 z(WW4#7+lM}tC#-s@|T&eZwDfW@vmD~OSPG2zRsJ6wY9w`t-i1Ji+p(Uv!R0tE9*MH zi0+vSQj#)u(P|tY4%w$ZxxfK7y7H0c0Q`ML*vE{6qW1al=<%WEmF&3RGtiW?J8po1 zRG)U6t+!9!7yBX0ePBrU{vro$NrAKZ+u};}HPvGAubk)qZhG_0a-w1GmHw)9DT`ap zipSTH6KS#laEdo8#uVvYbXad}3* z%Fj_?ownU!V=Top0v0!A5Qr>BVQHb_SZZen+<}(Dk8ie|)aWSXr&PGW`+Y)q8wyy8 zJM;KRz)9uixY7~5s0j}31z#t{%rs{Tn<5t($I1Mfh~NmWk!}u;vX#K4V8De}oKX}C zIy}B6Pv5dDzQk~W3@uu@roL2G$7}bZ)}L#k8Q5A)jCm+!ObcZyXpf9$hJO{Njag!A zC{?Za1BhJSp=CoPziUZwo=s@(F}&0eyV5T2VET4pWTesQqsm4Qy-%}?iS*F_8m|s? zXJnX1cA~~PSA+)eWB4AZ`Kjj7`C z&Xy}qgk_6}9K+j~asWUFU{a*mi(TC!r0cQ%EnEGUBlJ(=lDoU6zbIzMl)AHecNEFf zoT|4NH(4eD{I zs!7CB)2fj?BkH_Gh8+4k5CG0^Ie_8-^=?gPTE|a@_sZ`^CTn?nkgnbs{L~4JoM9=UHR#JWcU=L_wvcME<<7Uj+!Tf?w0f)K*189 zV|jYMIIAZ*8_RZW<+7f;csWnZ`dA`&FciOFYN5WksL9W zsLz99#DQWTDJE{V-jHNFqm%2$b&{{Io&2>gSq_uQde!(*`tLL2yZ1eKm+}a>O*=*M z25L0V7qcX?rRJCyCMu1qXTR$Ruy$htVQ z#@0>5062m4$_tFjjV1cfRzO+wg#DEk%q@uXD`8mXRj zi${U!vP-oNQA_D=>ah0Ia|s)-{N(bve=GEmmN1pL*2;m`K8@56|JT4s{D}$@__)uI zbJwR5_o1@No?1frtE5DdU`6+f>4pCOu37sKp?v0)+W(rya4Q`Y5vq1&oofx-m!C;#G z8RgTv57fZ(>xQ(Ihc6TfN1E&o=Mg_a65RYSZb(qlMu{z6sw z!IxiQy<$ov@Iym1dsieKo4f0`kY!R)(@WO8elkhLB#mq0uyfS%GoNX5X51*H#2mo3 zb~E8oXd|7iH!UILNtJ_T<;? zLQYJNuGx?6Eum~>7inw(e;!{J%TP#G7eD~1E*R99VsK~P>q$C(N zP=D5-fMIgJ^OLb*la|1w4-pbva)NWxvLr7;O+UbKKEaULU1&r-(^-hs&)A`(dfLUK zcU)n7>|%8fbT{9OYw8U?w=%7Kf*;r!_3G}m{1xm@Qc^NjG1Q-5k8Mbad}$UR(WTf% z+I8u5Y@?JnSKK{C7#NpheZE@Vf)ie>L2%9lf_eWT-HU?k%SKkEMXcHkro8p%0o zHC_JAa)?gT(Q)Y7a2n&@d0v07EO_x5k%hd*;m)gAGtAmjn_f(=G~5VWgky$rfyiuC zC<1jvV2H-xB*^;A!D(PGBs8zOiD!nZjod;S8sUnjneNeUoh#t)t|St>$w(dHkg&?Ou#%54~O>DJlP&qKHImB z$Q?TJrRXw;j+~Xu+wr(qp8%j%XA|cNjlL$w4!6@-QD@Gu6{FpcfnRFcPAg6u?7QI= z^~PGuq*;J-gM1N@9#>55(qe28-R5j@)6;@EdD{x=IK`A=B@9ps>H#<R(lJ*+hw6{v(f`279R+c3c;hNBQzTB1jxyS9$=aYDL>;pW$QnHm4VaoS2|zsKY&Vw zpML;*qv*e8?n4H0K9tlx`|T?&xqDLI-P64nG*&TDsdL*wuvb1G)!jDH!LFXwoj9O= z%Wk)guzNvE@(Q{WXP0n=+y=Z%WFT2#{)-7Zg{JS_*Q?!Z61}YwI)qPGwN497 zFAB2)&8Dr4=7NEV9)rOK?MdHcN|)iLIxk~P&S%syCD+xgUf)@4c_yB#;)FzAg;BuzetXZsOdFi&lze}k(Y?Tk=LApr-~?-L_(7c zNFKsu3=osztPR_t$DZS#(}*VVQj{v}@% z_tmF(uZ%wc+zY`kbGgkgoN*W8J66v+ZRdXg>OPA%Qy%mLWSQNDwVI!(?X=_=Y==OE z^@NgrI)zIE1Jay|iZnLFxI{sg%mx^WpQun(<*6c~Da0^I?)m;=Xdz549ycQ=HrmbG zrgV(m*;pK&+39Q*Zfn&kcK{GLHEd;??Y;G^0P2BPDns9f0`ifWO#oAQj=7C(2qRG( zi!76alka_+`IX#qr+X?|xALcZmoy-k*n4|W_Op}MGhwYLJ0knXtDc83> z`rTi}J*>ayRcKw!^n={X>0fgd=ZJ)%>Q~Ars z?^7y3ZAP1zmTBBnFN&0P4%!#rNPOls(ZPeO^f=$NZFE?+{q=REtdN2=QC%^s1;$nxzyArbLFL6hl@8 z08p;XpmMzSoUr*;$1|N(+v!UgK1Wt%=3dL-GiZ{(C+VGjy3x$^pQzFgC8s9Q6?W88 zRR27ril~bAZ5IaBz60}AoY!ICi|Xo6tx#ob(6G@*kAByV^os;)ZSZ0drKgD-_mD&H3612sL#&GGV_jIgh%wx^SFV7om zPE%aWbkAM=u$Wan7U4a6Kpp*g8;~hcYgWX2m zLZ^HXLv>ay!zvyt_tuE4%*Kf--T?}EB#;MxB4deqkGR>3WnK#k6lhb$04|jHB0izE z^9S&sHwUSo-Cz%}9<+YS%IR`j1c@p?89#kmDo&mN+X{ z&kkjbgeDFAcX;<-vC#e({r`nYsd?1m|Lpc_o<{9T$zT7X*R4mIT&EGVeG>6J2s?C> zE%n7*a{8WlLPQtW?q89N|EWJmYrmKKVY0v4(XV7Qrv$F1GK!U%Vp)(sSI?ng=cBr6 z3UF0SUEN2`6$wiTJ{(y}OH;1n=&2&2Q*M6@WtGq4Se;@SjNI>r5ncA{1|eXMF(`8SFdKi3}JL?$(IvUCX3 zM^qboH@^Q#lyfa9@L}`nA+fX|MXSLACEGwqE^`B#eR6@i_SJ9tL{m#sZ5e1|lWrMH zKz}UyBTuZ;yr}_2adQpyu1dWSF&+*0RT&=b*qNy-w(~PqIxUmz1$q8mLC_B)rN-SD zxvnnF029ObiH|i!dI{3%8SgE!8io14-4Q-|KU#FlFsE2r@9QP$gQE0=Bi)3x+eTZlNA z4(}xYB`LTMg~?K)lWC9KYK85F+vjfkwQirpFqt%FV@UtfBc*C`p=7x;Mxg`Jj!(7? z=7ge|v7twc-UmS=*hV0WD8#zqf9)dLSws^|Ngwku{xMrB-F6EJWXB^OFhOkc_> z@ME?dlLLB*t5R$2wBvb6y%q1YoSJ~eeM!p&76=0$}i z2P=jshAegML&t}_70H}U#l_>~1E3DCAK~r&{;I}73;=|O0|4IPaU%ej`|!9MfF&uf zm4~lp{>3sGhxN(D+<^)eWwcw_Z7&gUb6k7giZMqj*~Y#-V*u9+fmSo*!;k&rANT0z z$V0kea^0MlVjgCeTL`cQKiqKxZeK3P^eRXlG}6rT6V)qatw=8o(B}H3rnK}$sqyV8 zOgGXFf<_aJDB%o}htBZBioVYE5ZsOy+arr}>UdqT7NB?WN*}LCyIbU>_Hwg?;!&=3 zY>}Yu3kL*;S6Qac1(i!w-5UcBk3+1%E(Z zT7+`Uz}20+PkC!f0$Stc8Nzm^K9FsdI6c?!L^?|-s#P=HfCwViDRG`5MtrO-BTIjs z=?oc8S4x`8s?YFpAe?CYl}}uQxQ!oFF3p!UFHq*Nb<{8Tcmyb1DNWOo|}vJ zxPC<7Z%Qugd*)0V>!MK)D~|qLhB=ZVX3Mh35n|LX{0{JSf`GKuW!)3Gr2W1^;Me>; z)&)y{1LS-1e9%YXPw!S+eN9E-T$bRLaV>Jv~9Lb$lebUsRGv?G5 z)yagUm2_#s&SjoZ)Nu*BjP}TBfY}E1bfAc#U<|v5Ax#e<6YgmoN(q$84P_jWd2?@G z;{bot?!VQg{F52~msKe6%A0hL*XtMY=_}W6xwY=fGjmNgSy7q$iTIA=EY`UNi-y9+ zB9N;NX@f{~vi=t8+a+E#$rLp;8o5umlDIDg|WX7cwTDZ(5; zLqk*i)kGw}BCUPAw7Skqf9j|m_7`zquW}+b-QXy~H27e$qDz1g80X5!c6=HZMUx~m zGm**Km{jp3b>kxz_z5tvh`{+Q7D#SUO9JyMzDF~i_xcC$lONlkpbz?fMx0R$kUyTd z^;aARrP&w&0Qd#~M8u%30F!lXSmPZ}v2UJnIL1#N9Y%p5n$+~KPM4{j+F{GF)zcIi z7y%7axwy-Qgq>~)aBw;>1Rba^B{)U7;ZwnRluu&*Bvt^bO2|Fa0&&uQ2! zd0Wf&)LF3;y)rHpf1=7mrI^=_da^>#KtE^xA|7<0;f?5j7PP_OCGXi1bygZNLd^40 zEV7$gx~|xYYGZ9q^JA$+lj4l7UR-N~o&9UmhXBJEeT5Iur!*Z%ZJch{9D_F-rEG+_ z{@gERdZF88c-+uqP5Rs3n?H02?)-~GP6f{C(ikAhQivjUmaOW{7_^dVErG!=rJ41?{*Qu5>)Xwu4RcMGGHTcr}aC{o`41^>xArt%Pbj zi9MTYUiZ5DF0%n$*J`*wqoV4@v6-i|Kil!8N_lUuB-NudYi=r0naVZ=*epI5?5znM zvCN+q>mSZ6hBNOx^F=%wZp?JQj3RF61<7a4fqQ+^L0M;&{gdXx?a+dklVT# zS(RN+mUgdlF%k!0I4a-C8No)g7l#^f9PMq#X_vf&j!xflDEL}CV`FKf6e`O)SJ z?t9L=*!foR8SWS0=G1Ygbj{!`!$W%bLUoxU_<{sTdBPv8kmPZUD~py*%5$Em<&Lem z07JfFMaO&U=^=vdKHl02UyjLmnpNhwTEOM5f3;Q^kh3q*$T#{@{cIMTg1cK{lt0vX z2azA6!D2i{Oy5x<;f`Z`))M@tDG(1Nvl=0&L z6Gwkg1mb?*`9|pyhGD281Z9O`G>LB^#%y<`#k4=kEwj;l5_Xl_dL9~=hPcHsLM{>U zt*j$co=zfx0|#0Cs~8LnpqcE|-LY#UKHL6`H}3@eRU95;T8IDh}_| zv0vvE2d_eU-#`ua;xrO4G$mtWfT0n2A0to9`hH1 zM{;-;jQSEbenuEe#T0a6PNcFymf<)dj~K#DZ;t3Az&D87*Q=*zX_a?-QRYjzE~oWf z%pU+p=I7tli<`+iZ2TX%4bNDDYe{_#u2YvhLu0I!zZLA5cL(7%*hx9Cg$L)vsS4Y~ zAGJFFWvfSHnUH@lnl^Z$pdT0Ofi1EwWJ>gH+8GX@>$*32&_b<7-Fq*@mg6GEt zUnU;eAJwO`K5?qjORi|k#v6_3+y-l}E&moi8v((s7~rc+rFQy6AeI}zT(`s~b9}(1 z`ynNzC#tAH?hXcHz`}~Tntpjw6@>Zu;mqu{E&i=^{ee(nlO$l)*L=alsK2`f*G~U* zrTB9Np&eYRd?Tz>jHr~6pRVd^{;$Wx>U zz~p>5xb|2whzI$Jtnz~^&2fJ)dXZl%25p~Xea zZNJV5>L~q>(HKg9$21GZbCZOBlkvuSlNuE&)fp#0etpM+O|%xW$HS&iK{?WcXoa%5OR&N0RIG8tpf#> zH1uBH<@J!=>^@tuV&jFq~r(;D9@h@NFRM<^u)BBlyzGU@x^uk@deppFG5|GPA zQ0^R9EWbr5sAxV*Cgpp)*w>56Od-{KF)`(gfB=LmjHXRYdP{-pU=o5A{ZcH*ib~RR zM(N~Y(o>z(Wt%SZZCDsaWKE7i*+xl+ExeS9F;d-4m%bv>)C2}e_+41BBH+{ev~yxOOLvMch-4CNd<-vuY*`n@+1?C^m*p?OW%p z_3l&a*17%Z-}6IPS9Mj-ImdXO-w@Wbz-1!YGRBG)wLmO#&Z_Gc885PmT37qIiyMJz zSBkS%CnbF=se%nvg474+d1U^boa#47Mkv;NqhxPopT!e{kLKY4i~ZBa^*Y~Ebh@93 zJ#o3vKz;R%kBrrpdCHq9qNZYmJgi~7j9Foft#OGI$AvyFLs8y5q0=N)q^y!?xY&!{ z$A8YskGnhR(5}!(PFOYFdD@C1c4U^8K%HP}YdH)y)dLe7Kk!RnPe;b?H@1VX z6GK=Ypgb}eC>dk##F*@1Cz9?#6aSY-f-L6`HYI{-~^o&g)pu{rx8N8_y(eqsUfBLmxT3*rwYEKO%-9`w756v+DONJ zo=hN3Vti=AX?LkRM;^EwK*JpjN4`=eS>+-juPsQ@_`>4;JaS&-Mh#$v-xG*4KuCQPoXSdWBUq zV2UD1r=*eD^u0io(P}BE1%$==nM!4dC`)YgOCAE2K;RL#J>C7zz++_Pv8Ua-bp-?3 zNm8uai>^=p^n`U|2`Us0O$F^Y9u8S=ZoU+hXwJ z%#P1u`e}OlsDx;Jv;(S{mh@;rXTGHlsDNFjL$3X|O>Wi*eY#_8+)2Ea8BwYVkH%sU zx0emd_j#i-_fkZ}uPgj+x;Kp!3Zf7VrNnvTpG=J87+T7#(Hkh&C*dezM=WH^ri6(| zpirgKjsZobP9M-sMvtKM0G57ZYRe$i>p`Opa_=*Nz4>Z%#4-IiDmD3BbkyyNs07g- zU1ChQU1_)~t?^g+nt#7~zO4vjCBRafOv! z<8G=mrw=2Yal%7ZBFaqjLn4){ud7paN;k3TNJ#O$Q~MHxz5K_D1$m{SRQtcm5Bc0cY+H;9yzi zbNA0K_KQ)q3qp{!0{5?bN!NANQilEtl{Z_&Ktb37=L7K&9?6(=Sw~PpVYZM<+c^ni z-cd{`U&(th<|5rhm zVue#KNVVFRJ;Ft8Y@Vi30f#bLY%uKdO!EulOlh>4wxe+B+Qe2Aj;$81VxxKVs$E1i zfnb8JhE~5_=O;0pBLPUha}p~f#@z7J&4u%=q3}?m5V;s{Z|#`zg`~yD5Svb z0^TYqAJ%iuSOl2gVh!3(^(YdOtCS(_$;Uhofd;tfiYHK7cMNNbM zQ+%yFxbK)q&?-|>P_sKnK5fQsb>F1z+TB#|%UQPcQQ2<3miRBLcfR%cH;~$1PN{OU zD%QD$sNFpx3TjrFJo`Ah1f^YGWSF!cb9{bUzvLZN=R7@AV5%GYN$5J5^b55OgKXqf z;r1@+(Cq?bROw5DMq2a~RVHwF=hgOkgPh|X{l^duyt%TBPTDz}7VWDqxg=zcjWZh* zxj)jofBBqLXv3zkXBu1~ral#8IyRBr0(YYGO=4V$RVObEgIosxbO3gVW{dOC7F()~ zPt~TN&ElP^mXO$~6McFxcS#w#*kr(nQ!1d_DHR#+!T;gF%*7yNw91a}RL`}QGf|jq zS+~(Fk9kv>YLkXrFuX0OkM9ovWAu<AqaICTzrkz2oI_V&q|6)&ZEXF&<7fWk zGM{0B(`P5okxh;Ev%ck|tUmxw{yJOC2XE`rqOP1~PBkUXZ^*zxJV=t2KZX7Y z{gj|+x{g9kSt=~C5czZm+RmhdR*=27)1Df$_zp`qLj0-HV9<%(w$^i51PY+sUbH}! z0{RI}ek?DRF$5BL^%x>mwATGOZ5ELLE(9J&^GEvC)rh6vU>gx~hxP{n^C7yr+1|7H7m^F2OxiHg58 ze?&1PDvJo%YdX9)!PN-$|9Z3dKRx5K z5n7u#>9mpP*lkccmbAGUNgvw6cyR22yT~o(=~2~aDO<8lB&4q_|nY0H&h|D zp9KK{;j1CNK`;AU#W=p_mr7PI{NUBnqou)he))mkM`g86V5-W%(Nuj3>buE%!#W3~ z0enN)u`wNg>Uh}^>lEWIoNRlw@|7Hq^}Cq&w{bBJc`8jo`G@I+3zY;fa7|^9sN5he zjV6@ORSBY<*&R}MvTRR{{A6`>627@*>PnAvbm=$EVyoU|qU7^u+0k{4iB}dYeO1dr3+=Rq z<1iUm>m+3rg<64m@!C4IeNjwAX*#_$yEERwlj8F@s%&&NianOG&v&EqObJa@SUf*@o3i+Si z!Fi!=TbO7sXneX5H*fwfs$mxP&L+Z@GZ54;hN;?LhLAOJZua2df$9Trb&2N~X?-2nz zAq_~INu_Q|!yf3RUl-6r*4 zmWpf5SWO?!DzBPv(N9m-MI@n19RpJn%ZS&Hg*|l@OegCintUe zYQ$G*c7`@$Oo9XO(rp~~%(5zJ46Sj>%=p<5mx0L9WrLR#K_cGIbr0!Nk75g0>rC4j z8`?SgpnMqc;;o(a{E4Lg~T1^8 z@7EbaS5y@O7Z2BwNpzPxyyn{t`@GM+iwmvud_RtTGjd{^TUXl;$80^(S9kg$s~p-^ zU+0g1P?^rAljd)NtLXSYnPdsuLzh$9CRwJX9i4!aq1AgJ%JJ%-X_r$EW;q*8-+VMH z)#)hRvRh(lxSTDyFtRVs{I)MI0OgM>lYX(qKf9N`VC-I&Ze#3Sq>f2Gn%5#6wFx&Od45<=Y zygQ8e8B|o^?Y!{_$fD}#7^nb^79kYScYx1T^!tL_S;L*b#fCVz)H8E?_n5ZiMOY*& zv1s@_;{6@!K*P+FFv4j=_>nZY#?d4T6fMVcQH|Hv=Zz5qaSt$e@S2s@dJFA~Ppa%M zXXNr1ZsKea3|2|bfq9Hpl$<)DUXyx!u`DrA?Dcp|;PvhpF+^1z6Fl+#+Sc&>xUht^ zL$lx*A9@hGKz)3k${oCN@gY`rX26o+n(*uF`EGg(G(T@4afj$-ADVAOVv<_Ok3Rst z^>8QQDuoFfAKF{QMqo1-=qpA|LgEDcRXW%75#7x6Bj=6PJmdF@OGh_2sqD6ONro~O zu97`GZU?CYvUNb6-2b=R2y5g{WqXtBZP)^C=U`*Sbi=80WjR~Zad5KM5Bb!7u|6*T z6b52&beck2SA3J{Qqf(upjNxY9^Y}1IQ)`=!Vh)w-3l)0(6Vb-cFtYu0~#s7Z zMpSw9kdEe<+q6H!rCXssg@Nj=cgZ@T;va2jA1g#1UD@zP5JxE*oiHn&7P^ijy}2oyNv#ogk}yFOUJ=l}8_-^MdlyCV-d2Vyn6%2e{#s*_HS1gRAkSy8a$&3Fu1k)zEk4rP+DZA_c4X9a-Vw>OH{P&U`>b~$xlm8B_{N)-NAU4Z7J$$ zA8|zuX7Os7aHz}2*Pk3Co8XTpxyMR#bRP0!7aqHk(wk{WX^4JNZH|h$31>YeBzq?Z zL-;$JsuFb!J8_K&^Q2iyMTJd5!+4!1#{tRIniCdeT5P6Z{w~ru-8WdF4CG58jwdDV zDL$Wp%H}j0?LE8@$#sX{v@U5kWsq8uu3vSp=7@7G1LQD$W^Y&-TiioXVhs)&g;P*S zg+2tn?OH_#gK^WBObP>{5!_>mA9E$_3CRx2f-WzwiQ9JhBtNE%&KM(j_({l-+W9!! z3Nb0WTNrmrjtcHU^7tYt*EiSq}$QHsG?FA<+2u(7_m3!ba8bM zuhERU!S7HS`POc!%8OvE`^q|}^mbAOft(G2e-o+p#_tu?7SlI+deBnF(KQ+sVumP- zdm?0(E>&q>Wp0Qck`spmSvx|80Fp!A9rbF(sufd;&uxZ|mN=a%tTwY(%Cm>JA=$)bOTBIMryj#g#AdzXkv=?l%sFR-5QS10= z(n#%9+v^W6Lent@VCghQKbS|0f}+*Oc3SOqsz@~|)4b6S8=jdlEBN+Z-sAH2ob&0o zEAV)06<{EWefou~~as~HW(l+I(q?IDZ2HfXqAY4ZcgspU>p-6u}9pZFQSb+xKg z)}8F>pU*ouWs2!&V)N5PSrhI(!m*hZxnWOaTH17X^PU3rHP#G+LfFm zf>yqJ4jGY^5c+8h%v*lg(FRS>j~{)FkdwsBi2gB<4u|0Pi^X8p~1^lVN0iAO3qlqDi8 zJjyOZ0)6@<1D)#>zuqSP6SrNssXSGv3fdbhlf4O}OQZ6JMC|Nw-$YCpydt0JXP+F< zptNiOKQUd5Frk+*Qq#*2)VnR;;OR(X=wf1N2pWxjQ%tH0hOlD~=LbNMcfTIwAEYl_ z>siD%pJQs!HRfR563TnVgP`9idH0hc;V=5BKzpp-G>v+Pt~x zYt^NOLVHZ9y-WiyxWf8Qk&w0kuBW<2=|H)HsTHm&ax2~(f{<1evkY|NWT)z8&q+Nx zojvI}$k}qX_f?4<3*YB!cX?v*IJnnc-ApWCq3Z3vdKEdrd|}P~KG(!$02J8_ICu_N zqIonC_baur>5@ZSxR7;{u#SEawJSugr_f%iyzWU2T;iSbOQKtigb=(sdIp zFwDe_V&#!+e@v9ytTD0B5g?i~$KEI|tU_pz$43bs_9=R}iUkE}ZDS7|6*`8N)F7mp zPT|wI5#yhj>_-qQ&@@(A@3h>WCjN+)azeVx(ofn} z1TJU?w2!fCuU20#K2OTX+>yFQci(Q)}47!>I z=-`cwhgOXp_y-ezy#p=$ERbn!(x*S^)xGn~K&`nzyQ-mEsssi_r<;~&ldhfKxU7cx zBf0$&o2a)+3#AabcLu^7$#vsEWq%c_)(K0?td$Vylbl=*4y#aQe4_#qGw}xg01%1T zs^g`iNh5GJf1V0ag9U@UXBB4*{+aUdH#LFO^i%jcvaNC7oPui`5XsDeF!@9UJSitu zWG`MzNj&|xx`fpyKIO}j+KOWW?vnFeQ)*nB%=)5UqR9YFPCnj2qN}2E@Pk z1b>CcEu2uEiP^Wp>7-;6Dz}%)-j2kv?&BZ#)MT0hkLKK~N8A~NJa1g1EL+MDhZS3{ zz#oI7Xt^~qJb(N=Ai0;CIp}DXB)=IX_c$1O_Xm*Z9kH8~eWm3^{|dSEzmO3G7|4`t zH&+|tuxbsm*w8Wo)uYzGlOrVH$gzzw4WWF1Avw~wcRkV!ZK6Y(q%ytb6pX+r1^6RH z6Z59ZV@2$f0cdzUQblt2DFEc7En`gA8Q-hD>*t;uclT0EbU!x8mrhiPHBK`zHqqe^ zS!Jhx8cygeylvbt#Ryev1LTa;i0T1P*F+Wh-1|OISs6vHG#p|uM4uLC zzLZDLqccnuZicK9J$izQwOfE}TO8ef*2oe-44OQQ%KbpGwo5)zPe&lPDoKSwt6F4) zmBd}VAdWk~OQ8(79Jkm&MJY8cxy>JTAMG+nV%Da|I|3;4_Ya@KJ6L+Hk{QV*6X=a^bL9kF(WOu^vqBiq1)Vig1RsYcg=)b2V*NS7T($HpQ5i}NmCW8&i6>>1R3x=%V&OALm+ZT| z3us2ESh?tF<8&=i;CWhi0f;)1*3m8eNvF-O#KR+`Q04jgxtrf_n_?R@$=a5++lX3> zwQY4*Z9?H5MZuJYoWFQQ(70T*tI0tQi<}=aZ?dGF7YfyH29alr9c1;cmHyeaj&|GC zdFLAo52j90aAMZC-8C);@Gt^LD?|mOd=pfO)F@4cHTJbiO3;GulLyI1;I6ZjYIPSi zc7hxNnu`Gh*kTchoI)24W#k9P6sT2LR2e1qDJg{z{8l>eAjxse2Y>I5TYB^657@mP z6K3w%y{|Y&@jP_~ls8H5b%Q{f-rBVbdV@Z3sXS$IB2w`c$2k&mLx_EHkxtSTE!Y&8 z&=a1#RnlsY;m6ykdYIg?;!#*MRcI_&$T71hi)mnXPgJL{(4astYq$|zA8gMq%r3tA z1nW%t2&K&7I;8j~AmDwu>pHsuINTI=B%eOmlkeTTPN-M96iR^R*3*j>p5#7dX4YSM zYX5PpCTH^f6wm5Mi38uH-Cj3TdG$>K8KI&xB}~#lg}u_<4HicdSMTS5mB!K*8fw}H z&nYR8@Rj*^x>l(Esps&Q(~n;k^(Yp9nz&;Nn%LMPT6_le@N`BX5C5~lLPVE055O2wS=Vr@CEEw>C4_9eS(K>`bPza7lheoV&~AkjBhh!wmym!F!(qR z(NYkg^ueyw!OM4;D)dB%W&klnPiq|6MzHO}lpAt!Q4&PR$oFCPVXmM)tdai#puTNG z*t;5JjRrUzRehW7Mbo6pi+nT9lqNYzzFSxdty*NR1mgV#r9&SNfLVd8v9+O|nL|>o zcFP!uG5b(GD+XzDcOO|F{QB-kC_}G#(y$BGWNZwIV?8IPxtq(`)Xfav!%AI4LL7Ci zhB2~Cq=n)89nbKAVk$+xr~5dbspM;WX5s`4Gb> zKgk(`g3@TF4X~09N1V$tJG2x7>&YWhnN?W5{Qnl3_uu)N0rv%8MQUf@LMg%2q6xW? zlvnf;@UgI1I3Q0KB(tHZ37>CHrZQ$Sp_!_*nMg2I_Nm!;c2^JeLoZRoK8r)q#LMR? zt<6^LHHNi__w9* zfpg^!mWG?B#cHCKVqbSi+*0~NBkSuBn@h?JhN~8#Pxv&qNs==nHPZ(u+Lu@#V1!GS za7c_p3HiSHFcy;1FwS37I0Ar=IlW-Lx=YnsJB3TTQ)1GF2gFX`E$N3Qxb&P<&e3RE zlzy)@xIyWY4p<5lH=c1E)}Ty&C%GT6Fx(fpv?ulNiIM$#?d?wP*HN=umUKzxi2XZ) z%-i|S{s3l);;I}s#5Tsm0uYMZ*|knqyz6-gb@QE)`ex=@hiN&opkC3_0+dVNla9Yw4 zY`6&qBJprAB2(U34-up^FbB_Nn#{TQ;O5h@tX!!dm#F{dl&K)}Gt0n{@<;v3iYKYFI&6Bs|h03{CcK8vZz*S z{3x1I(QJWrO|%>z_Q-w+MVC9Jyf^@(gz+TyEok!UW5g@1uf?y*;K2x{r?M<9k(H#B z#N(->QIbbnes-Ps8w?dz-i+kJ7QS%C1V^}NT@ZdmjSZYU_RO6+=7K&8Sx6;nWQVw* zs!sKS9m|<-2Wsyp$=<<@Bi!SrmAZvuv99)Jv})MkDLFF}gBslP1j>>$E_%iDFXz7GP5dxtg?EB5fRKj@)0yWkMWLK^*X=QN9iO zK^vxRbtND3t?<}%J%K4f>J)Z3lAmMNaiR>w$>8UMzO*qlg)`euuUlr$;~eN7_%u;u zJv1f|sa>%(azcpFi}s*dU~P|wN6>WJJ6_Htx^5wQJw0}(8{#r8A-C-=ke&U_lKy%o zEDJp@ZUwYdB{u#ZYj>DOxxq$_A+4xDnaggO)|f66eeSyqA;0XUhPj*L(#uR`tH(pj zZlQU8zBLu(^gWonhKg3eLih6p+@`cIHfWmP0aK)PDr=rjxq|nj1n{ zA$3IOMA7-Qc--$Qw85`lsMIq@}B@+D@ zb{n@CW6r<;RgsFq<0q*&M08*zbp%O(NE3S`Vq4G|Wy5$pqyEmW1P(j*v^7cRU+BufXe_#!d4Wv(>2#H${8&vi9q+ z!NOG(GoQP^Ha@S~{klYb9WPO@c2hyaRup@S?F9{*GEmj{5}Fx|=}p*5+gmVAk1zoL zJKgfF`6?CkR+dnkm1he;NH?X$dUG)WDzU);IvFJZ?O*L|i@U$GbpPGk{o9p)zsAuE zUT{e(taNbMjXmTsuYV_KtYR5tLi+6~(YxT?@7+RD&Uy7IgV%8``f(CiX>2YDxh^sQ9YY2K-9eulR7 zErLU^i?)m6iZa#bbFa#0kM|Z`GHOlFD2tzttlnk@Jt)@FMv@h$^BLY2O6z zstuP{^jt^$0oatYM!D20a)^Z=-HtSFphRV-m{qSHs|4}YCKGgwxjYkvTb7tc=i`4$ zVP4`V$0ncQU2Rb+RC>#^!pnm?OV+~~rfm;?lY`$}BeO&bX)2MI17-0rDY202)PcXo zF9jFwn%>?YSNWDvb46@E_xUW$9%a2m79FQ!Q?P9Bj? zsB`$C9BI<(5EPS$lef%OMKm*M+R5#(*hoY0Dw`tdDNKuS+9C?H*RS@$^l z6g2`PwUXBS=9(%oS(8$QE-4mk1rNUn+FfW_M3NVtGw9yi#aW;AKJi@l?FaEO3!VAC z?pL{OzUxEnLx-ytmKq-Q`MDIE?Pne^tdT(<}{&AuI9x`pA4Xi~3;Nj$OC{HiAVRqT5MO;1bZXUWR;^@Fq| z3u&8xyxOolr1qQ${UT6dR4TP(N8^K6J*) zbH>Iw#5V&Ken<;K5J$igG8QI#h*ro939AoMUxsfaVfYp8bj5F8aQG}s&lRvEn69*` zz~Xcc6U%Tw#pRZL z)Ql#0MoV>u;_MLh;Z{*m@DC^{&2cUtHpl54z9+6(Z|My$MMu=?y6;Ox_1Rgzsnv=y zloF*&76c9U6m@kb1VLYh5)n>*0o|pW_`;eU(gWYa{iylf<*K*$3f?XG0~iq~S$j44 z1E77-@)v#qz`V*Kkq^ieE&r~yTmogS-BV6vJ2;%Xu*yS@F~_+%_Eypp`X=&|rDWLc zDm9F-DVR>1lYu z4Ku5`tJ}L+Y_`lH@MWmQQW_@`lWrn^kx{d14=#wp*NW&2A{Clg@Ifom0s3|7tJUeD zL3g)kdp{0oEJ?ClS{L6P_$Q(BMUCLV;j0 z0jkw6TxVJDubYw%#7l_{Zq6$o2q{OcKB9AE8N{i?Y|2*GZSHwpY2mFa20EVuVqOkPpU3~eSy`@_{*8ius2r_h?E*gx)j>j?+VEpbnZ9U}A3XDGqpff4 zndiXRt(bH(aVwNX{yec154*pmdc$J*;zCU%=%~bH2|PS8lGa9{78)}^OqFmuOBbcB zaJ;B+iPzel!=&UA2ln#J-l0bv+ z2!@9Q%7=rD_G&fonyip=w?=6@Wmct3UDLZmy>ZLX zfpN*JSrTuBNfRdwD>yJYza>u#L&QCSkv_N5P}YfBn3QX$@Xv>u3A7zQ;u}dUj^vJ= zv-m2U+RDZPBs3*t)Yc6teiouL(_$Lad4S_blN#x&Gjm_P$-6^*jjGJl(!@Qv%*}u* z%u>Gt$IFbz{)3Ny0C4VHg8SvCFkSB4B+v3hHT90Oayj1i8Ois1(PeXq@ED|^h40B3 zNHXU5!9@@B5Da~OgIU6ecEQeGFs9q*(8g76y|hL6$}_n4CGuhI6a(u^+=kE78#?-Z zfy@V%ZSOtxKIaVZG4x`$^`KO83T3)$d*4*B4X0&z$=`bR2y=x?OLf`xTuva6XCj+A zyM0hOInPZ^_MX`O$mCwkbuS3di!tbZk&w0}q+ z1zxD>{2rNY&o4w^{s79HNPh#&!B@n3Z9Z?2AkS~>BPw_pMnB&Yc*xukUsI zCXm_xOX~$jIIt*9a;$({@)aZToABF&iJT3Vf76r34cE|L-Q_ngLPl~5p?N;{^IMvFDM+OQbB*(3uF(_Ka2x#wuB@=Jd|Fy* z`ObkGs&(St(3btG>A%tuyt_vdk9-K?a=2SS^>+bqLh67wt?zrBeKp6}wO;p5j!PV5C!I}Z#oX0_jX1vtyHHy6^OC$VN ziq{B_f$CqGK}$gdv-;tawtcw*`rJSQCASIdqLE{NGgRoc4(o%cS3lUsDmS6Z zjTcOB;mBALLw)p-x|iHf}M$`B8rHRDk$`gbHE$3 zx#=DA2_EO_=xEc-|7Gi1RkAO!xpNj1UpP44=w+OD^aTVGOm7xSs-37y&Cws&mWS#5 zVxbqs;C7gM&1VhFJ2uVu3xd|()aa+{&?EcHU;C>S^A;y5&5ws#!v_C9u-jgLi#4xwhi82UePb19{h$gXn*q!j|-aV zudRvgo|QMU+EPM!PCcn~y_Ek5SdAG_*@r4S_+YCH!|tg*iNUMNI}3rP6$=~DUCj9T zeRn)BZlZF!zjsJTJ*?xppmMinJ2#48;-btnAIE|XB;uXmz27XzNmSMqBQriL6;6cu zW=$JSvfau%D>~975Q?o_;9wtdg{`>ZbHC5TR9rQYP2;15iXQEl7(Svt>T4CF_G_oh$#;iEtZ=V7hI7|uf>aq!Jw)_E#_KO$ONUgoDr|B#(WzXO z8Y$3a8W$D&Sw++~du0)N|9t}ge}`NB;{kp<-s{@NB`D^HfZuQAEWvxc-FI_eCWA%6 zujmoRVc_0DlFhn#01MJ+W|+T!#w4eY8-L5Q*xJB>vx3@G3*jV_G-82SG5LL?YQ#2h zs-A5DjmD+iX>~?su+qYa#AXzOF7H+4XgSb64T00dK<^6<(~B3VHlVEcspwhX?`8Is z=5;Xn`!o8a2K$gAuE4cPOBhnM^*i$ia&Y&6qoko|*X2`X+C%@1` z*|XJQx@xw3T;0+;HaVe1Mn-XPqMU{xVzAA86Qnn;#ji_mm!CDVl+g+{TLE=pC<}0V z5xLLT94fg^6? zRZvhNLg8e<+%G)$-BETw?lcSqZ$+B7B=s|$S66U@Zc9UNnm5^b+2yiklTO2QqrI0` zKM}k$9-1y}awzRK zn_ae^r9S}sj^W)+wB|`7oKd_FipG*V@W<_egj86HZ8DVOeb*+irOAz(%%0 z#dKgXI~ULu8Jt-HUEZK7KJ0}w0M|eg;HF{LDWG-N%akzdXYA#!f3BX`ug@g8W499C zIKN*iBOjOl^@QeE33$9V)gR@m)K9!+T%k}->ZAIPr3mDNWRe-7H7oj-JNVhdvGg6NgvBQQ zsdbmIh)VOA85;X14D(9koN9?Nn*Kw)k#`m3RHTxW{O_$Jd_H-0dYYap`(RQexpuck zxFvLppd5-^(?y~v5&4wd?i4=R(tMhH3_T!PxzyP-JZ;A*HX9_~ox=XQ3?sCn-->VH zScwapBUzIz+#~bx!zuG&E^;{tL$^C=?&7$OxUlWkw|2fMJFDG%Mu7_@o4%f@)=d#l zx6g{nSBqPy=Y;DizqLt$vYd4|^dlv(g23EPQRAN1AJCg+^Up)YzZVVvhrwyX(|e09 zxr1hTzEG5W)*a>rFm(A>c8Gcc|GVbFsa2VsU$?Zth7L= zDAmY^Xz|5DmEBl4X>P!SXX9zeB@mVr_u$*!I4!9xanPF1qL3vXU-5h--Ey6L+rPS?Zug z(+N=g^2?}Qls3k$5WGrld+jYbbU@X(qOhlWLy{sH%cxX`u%ssz%JbH8w%&K#_O5a( z-S=+w?rCs5FvswD{aia~3htiNlIpz(OyEjPd@q4aM7(RpH!lorYSoy|sQLv;BBC-e zNx~KzS6zKAp_mPDyHFc&M8@#jRf@;6-~GXV5zWc5;%^XXu;dd(!w|BOOHzq|~_n1}gc# Ob5s835B%_F`Tqe&R?b@h literal 0 HcmV?d00001 diff --git a/doc/数字电路实验/多路数据选择器/多路数据选择器.md b/doc/数字电路实验/多路数据选择器/多路数据选择器.md new file mode 100644 index 0000000..81ba611 --- /dev/null +++ b/doc/数字电路实验/多路数据选择器/多路数据选择器.md @@ -0,0 +1,67 @@ +# 多路数据选择器 + +## 一、实验介绍 + +多路数据选择器(Multiplexer,简称 MUX)是一种数字电路组件,用于从多个输入数据信号中选择一个输出数据信号。它根据选择信号的值,将其中一个输入信号传递到输出。一个有 2*n* 输入端的数据选择器有 _n_ 个可选择的输入-输出线路,可以通过控制端来选择其中一个信号被选择作为输出。 + +本实验介绍如何使用 Verilog 编写多路数据选择器。 + +## 二、实验目的 + +1. 理解多路数据选择器的原理和功能。 +2. 学会使用 Verilog 描述多路数据选择器的行为。 +3. 掌握 Verilog 仿真工具的使用,验证多路数据选择器的正确性。 + +## 三、实验要求 + +1. 使用 Verilog 描述多路数据选择器的行为。 +2. 通过所有测试点。 + +## 四、实验步骤 + +这里我们以四选一多路选择器举例: + +### 1. 框图 + + + +- `A` 、`B` 、`C` 和 `D`是输入端口,表示输入的数据。 +- `S` 是选择端口,用于选择输入的数据。 +- `Y` 是输出端口,输出被选中的数据。 + +### 2. 真值表 + + + +在S分别取0、1、2、3的时候Y分别输出A、B、C、D的值。 + +### 3. 顶层模块 + +```verilog +module Mux4 #( + parameter DATA_WIDTH = 8, + parameter SELECT_WIDTH = $clog2(4) // $clog2(x)返回大于等于log2(x)的最小整数 + ) ( + input wire [DATA_WIDTH - 1: 0] A, + input wire [DATA_WIDTH - 1: 0] B, + input wire [DATA_WIDTH - 1: 0] C, + input wire [DATA_WIDTH - 1: 0] D, + input wire [SELECT_WIDTH - 1: 0] S, + output reg [DATA_WIDTH - 1: 0] Y + ); + + // TODO:你的代码实现 + +endmodule + +``` + +在上述代码中,顶层模块名为 `Mux4`,它有四个端口: + +- `A` 、`B` 、`C` 和 `D`是输入端口,表示输入的数据。 +- `S` 是选择端口,用于选择输入的数据。 +- `Y` 是输出端口,输出被选中的数据。 + +其中我们使用了参数化的设计,这有利于我们对模块进行高效的拓展。 + +请补充代码,完成多路数据选择器的设计,使得多路数据选择器的行为满足真值表。 diff --git a/doc/数字电路实验/电路图.drawio b/doc/数字电路实验/电路图.drawio index a00be3d..69194d3 100644 --- a/doc/数字电路实验/电路图.drawio +++ b/doc/数字电路实验/电路图.drawio @@ -270,38 +270,38 @@ - + - + - + - + - + - + - + - + - + @@ -309,40 +309,40 @@ - + - + - + - + - + - + - + - + @@ -350,28 +350,28 @@ - + - + - + - + - + - + - + @@ -420,11 +420,71 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +